电工学习网

 找回密码
 立即注册
电工学习网 电工学习网 技术文库 plc 查看内容

如何利用西门子S7-200产生时钟脉冲

2013-6-11 08:48| 编辑:电工学习网| 查看: 49694| 评论: 0

在实际应用中经常遇到这样的情况,在一个固定的时间周期内控制某继电器位打开(ON)一段时间,关闭(OFF)一段时间。比如,用开关量输出驱动指示灯闪烁或驱动蜂鸣器发出时断时续的响声。
       总结了在西门子S7-200程序中4种实现此功能的方法。下面分别介绍一下:
       第一种方法,使用特殊存储器位SM。
       特殊存储器位SM0.4提供1分钟时钟脉冲,该脉冲在1分钟的周期内关闭(OFF)30秒,打开(ON)30秒。
       特殊存储器位SM0.5提供1秒钟时钟脉冲,该脉冲在1秒钟的周期内关闭(OFF)0.5秒,打开(ON)0.5秒。
       特殊存储器位SM0.6提供扫描周期时钟脉冲,该脉冲为一次扫描打开,然后下一次扫描关闭。
       这种方法使用简单,但时钟周期和开关时间固定,很多情况下不能满足要求。
       第二种方法利用时基中断程序
       时基中断包括定时中断和定时器中断。
       定时中断可用来支持一个周期性的活动,周期时间以1ms为计量单位,周期时间可从5ms~255ms。对于定时中断0,把周期时间写入SMB34,对于定时中断1,把周期时间写入SMB35。每当达到定时时间值,相关定时器溢出,执行中断处理程序。
       定时器中断可以利用定时器来对一个指定的时间段产生中断。这类中断只能使用1ms通电和断电延时定时器T32和T96。当所用定时器的当前值等于预设值时,在主机正常的定时刷新中,执行中断。下面分别给出程序例子:
ORGANIZATION_BLOCK 主程序:OB1
TITLE=主程序:利用定时中断产生时钟脉冲-Author:Smartsys-
BEGIN
Network 1
LD     SM0.1
MOVB   100, SMB34
ATCH   INT0, 10;将中断事件(EVNT)与中断例行程序号码(INT)相联系,并启用中断事件。
ENI;允许中断
END_ORGANIZATION_BLOCK
INTERRUPT_BLOCK INT_0:INT0
TITLE=中断程序:利用定时中断产生时钟脉冲-Author:Smartsys-
BEGIN;开始
Network 1
LD     SM0.0
INCB   VB0
Network 2
LDB>=  VB0, 10
XORB   2#1, QB0
XORB   VB0, VB0
END_INTERRUPT_BLOCK

ORGANIZATION_BLOCK 主程序:OB1
TITLE=主程序:利用定时器中断产生时钟脉冲-Author:Smartsys-
BEGIN
Network 1
LD     SM0.1
ATCH   INT0, 21
ENI
Network 2
LD     SM0.0
LPS
AN     M0.0
TON    T32, 1000
LPP
A      T32
=      M0.0
END_ORGANIZATION_BLOCK
INTERRUPT_BLOCK INT_0:INT0
TITLE=中断程序:利用定时器中断产生时钟脉冲-Author:Smartsys-
BEGIN
Network 1
LD     SM0.0
XORB   2#1, QB0
END_INTERRUPT_BLOCK

       这种方法时钟周期可以调整,但打开和关闭时间相同。把程序代码拷贝下来,粘贴到一个文本文件中,然后就可以在Step7-MicroWIN中导入。
前面我们已经介绍了两种方法,下面介绍另外两种方法
       第三种方法,利用通电和断电延时定时器来实现,此方法不但可以调整时钟周期,还可以产生打开和关闭时间不同的时钟脉冲。下面给出两段例子程序。
       第一段例子程序,我们用两个定时器产生时钟脉冲,实现原理是定时器1计时到位置位输出继电器位,同时启动定时器2开始计时,定时器2计时到位复位输出继电器位,同时启动定时器1开始计时,循环往复。两个计时器预设值的和为时钟周期,分别调整定时器1的预设值或定时器2的预设值,即可实现产生打开和关闭时间不同的时钟脉冲。
ORGANIZATION_BLOCK 主程序:OB1
TITLE=主程序:用两个定时器产生时钟脉冲-Author:Smartsys-
BEGIN
Network 1
LD     SM0.0
LPS
AN     Q0.0
TON    T37, 10
LPP
A      T37
S      Q0.0, 1
Network 2
LD     SM0.0
LPS
A      Q0.0
TON    T38, 20
LPP
A      T38
R      Q0.0, 1
END_ORGANIZATION_BLOCK

       第二段例子程序,我们用一个定时器同样可以实现上段例子的效果。实现原理是用一个定时器产生一个周期循环,即计时器到位复位重新计时,用一个比较指令,当计时器当前值大于某值时,置位输出继电器,计时器计时到位时复位输出继电器,调整计时器预设值可调整时钟周期,改变比较值,可实现产生打开和关闭时间不同的时钟脉冲。
ORGANIZATION_BLOCK 主程序:OB1
TITLE=主程序:用一个定时器产生时钟脉冲-Author:Smartsys-
BEGIN
Network 1
LD     SM0.0
LPS
AN     M0.0
TON    T37, 30
LRD
AW>=   T37, 10
S      Q0.0, 1
LPP
A      T37
R      Q0.0, 1
=      M0.0
END_ORGANIZATION_BLOCK

       对于一般要求精度不高的应用,我们推荐使用这种方法。

看过《如何利用西门子S7-200产生时钟脉冲》的人还看了以下文章:

发表评论

最新评论

  • 学plc需要什么基础?怎样学习PLC?分享自己学
  • 用plc控制运料小车编程实例
  • 西门子S7-200与变频器之间的MODBUS通讯
  • PLC编程初学者必看 高手勿喷!
  • PLC的点动控制原理
  • 三菱FX3UPLC输入接线
热门文章

电工学习网 ( )

GMT+8, 2023-3-21 03:35

Powered by © 2011-2022 www.shop-samurai.com 版权所有 免责声明 不良信息举报

技术驱动未来! 电工学习网—专业电工基础知识电工技术学习网站。

栏目导航: 工控家园 | 三菱plc | 西门子plc | 欧姆龙plc | plc视频教程

返回顶部