电工学习网

 找回密码
 立即注册

VHDL顺序语句

2015-7-20 07:03| 编辑:电工学习网| 查看: 8690| 评论: 0

顺序语句(SequentialStatements)和并行语句(ConcurrentStatements)是VHDL程序设计中两大基本描述语句系列。在逻辑系统的设计中,这些语句从多侧面完整地描述数字系统的硬件结构和基本逻辑功能,其中包括通信的方式、信号的赋值、多层次的元件例化以及系统行为等。

顺序语句是相对于并行语句而言的,其特点是每一条顺序语句的执行(指仿真执行)顺序是与它们的书写顺序基本一致的,但其相应的硬件逻辑工作方式未必如此,希望读者在理解过程中要注意区分VHDL语言的软件行为及描述综合后的硬件行为间的差异。

顺序语句只能出现在进程(Process)和子程序中。在VHDL中,一个进程是由一系列顺序语句构成的,而进程本身属并行语句,这就是说,在同一设计实体中,所有的进程是并行执行的。然而任一给定的时刻内,在每一个进程内,只能执行一条顺序语句。一个进程与其设计实体的其他部分进行数据交换的方式只能通过信号或端口。如果要在进程中完成某些特定的算法和逻辑操作,也可以通过依次调用子程序来实现,但子程序本身并无顺序和并行语句之分。利用顺序语句可以描述逻辑系统中的组合逻辑、时序逻辑或它们的综合体。

看过《VHDL顺序语句》的人还看了以下文章:

发表评论

最新评论

  • 阻容降压电路结构原理图解
  • 电子电路的核心是什么?主要传输什么信号?
  • 电工必知整流桥好坏的两种检测方法
  • 4个二极管整流和2个二极管整流出电压一样吗
  • 三分钟带你搞懂运算放大器与比较器的区别
  • PN结为什么可以单向导电?PN结单向导电原理
热点文章

电工学习网 ( )

GMT+8, 2023-5-16 23:53

Powered by © 2011-2022 www.shop-samurai.com 版权所有 免责声明 不良信息举报

技术驱动未来! 电工学习网—专业电工基础知识电工技术学习网站。

栏目导航: 工控家园 | 三菱plc | 西门子plc | 欧姆龙plc | plc视频教程

返回顶部